04-27-2016 12:16 PM
Hi, Dear All,
So i uses Vivado_hls to generate an interface including an ap_fifo newTask interfaces.
For example
newTask_full_n : in std_logic;
newTask_write: out std_logic;
newTask_write_data : out std_logic_vector(31 downto 0);
and this interface appears in the GUI of the IP.
And i added some custom codes to connect these logic inside, so anyway i need to remove this interface from the GUI and only from the GUI.
I commented these ports in the top vhdl file, and i delete related lines in component.xml, auxiliary.xml and run_ippack.tcl inside the vivado_hls_project/.../impl/ip/ folder.
But i couldn't delete these ports, the remove ports just grayed.
Anyone have the some problem?
Thank you very much!
04-28-2016 06:50 AM
I resolved the problem by re-import these ports to the TOP vhdl file, these ports are previously associated to another sub-vhdl file.
And since the TOP vhdl file i already commented the ports, these ports just disappeared from the GUI.
04-28-2016 06:50 AM
I resolved the problem by re-import these ports to the TOP vhdl file, these ports are previously associated to another sub-vhdl file.
And since the TOP vhdl file i already commented the ports, these ports just disappeared from the GUI.
08-28-2017 12:59 PM
How did you "re-import". I have a top level vhdl that I made changes to, removing some pins, and want to remove them from the symbol.
08-29-2017 03:44 AM
Hey, paul.gigliotti@coachcomm.com
What i did is just in the Package IP/Ports and Interfaces/, right click on the interface you want to change and there is a import port option, which requires you to indicate the vhdl file which includes ports you want.
Hope this help you
08-29-2017 09:45 AM
Thanks, unfortunately these signals were not part of an interface, so I manually modified the component.xml file....